From C To Elastic Circuits

2017 FIFTY-FIRST ASILOMAR CONFERENCE ON SIGNALS, SYSTEMS, AND COMPUTERS(2017)

引用 8|浏览9
暂无评分
摘要
Today's high-level synthesis (HLS) tools rely on static scheduling. When control and/or data dependencies that cannot be resolved at compile time are present in program code, HLS tools produce pessimistic schedules based on worst case assumptions, which may not be the common case or may never even occur in practice. At present, the only alternative is for circuit designers to eschew HLS, and to instead implement dynamic scheduling, which stalls or slows execution when control or data hazards manifest themselves at runtime, in hardware. This paper examines these issues in detail using a histogram kernel as a case-study. Starting with an inefficiently scheduled implementation produced by Vivado HLS, we introduce a latency insensitive control mechanism that enables reaching a point in the design space that Vivado HLS could not discover on its own. We describe a step-by-step process by which a compiler could infer a dynamically scheduled circuit, starting from a high-level C language specification.
更多
查看译文
关键词
Vivado HLS,latency-insensitive control mechanism,design space,compiler,dynamically scheduled circuit,high-level C language specification,elastic circuits,high-level synthesis tools,static scheduling,program code,HLS tools,pessimistic schedules,circuit designers,dynamic scheduling,histogram kernel,data dependencies,data hazards,C,C
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要