Test Coverage Analysis for Designs with Timing Exceptions

Kun-Han Tsai, Srinivasan Gopalakrishnan

2017 IEEE 26th Asian Test Symposium (ATS)(2017)

引用 5|浏览29
暂无评分
摘要
Timing exceptions (e.g. false and multicycle paths) are commonly applied to optimize the performance and meet timing requirements. These timing exceptions are presented as extra constraints during test generation to ensure the correctness of the pattern set. Without considering the impact on test quality, timing exceptions often result in unpredictable test coverage impact. This paper proposes a systematic approach involving both the design and test phases to achieving high test quality while meeting the design timing requirements.
更多
查看译文
关键词
At-speed Testing,Automatic Test Pattern Generation,ATPG,Timing Constraints
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要