Logic Design Partitioning for Stacked Power Domains.

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2017)

引用 16|浏览31
暂无评分
摘要
Energy and battery lifetime constraints are critical challenges to IC designs. Stacked power-domain implementation, which connects voltage domains in series, can effectively improve power delivery efficiency and thus improve battery lifetime. However, such an approach requires balanced currents between different domains across multiple operating scenarios. Furthermore, level shifter insertion, alo...
更多
查看译文
关键词
Optimization,Batteries,Layout,Timing,Very large scale integration,Logic design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要