The Bunker Cache for spatio-value approximation.

MICRO-49: The 49th Annual IEEE/ACM International Symposium on Microarchitecture Taipei Taiwan October, 2016(2016)

引用 70|浏览105
暂无评分
摘要
The cost of moving and storing data is still a fundamental concern for computer architects. Inefficient handling of data can be attributed to conventional architectures being oblivious to the nature of the values that these data bits carry. We observe the phenomenon of spatio-value similarity, where data elements that are approximately similar in value exhibit spatial regularity in memory. This is inherent to 1) the data values of real-world applications, and 2) the way we store data structures in memory. We propose the Bunker Cache, a design that maps similar data to the same cache storage location based solely on their memory address, sacrificing some application quality loss for greater efficiency. The Bunker Cache enables performance gains (ranging from 1.08X to 1.19X) via reduced cache misses and energy savings (ranging from 1.18X to 1.39X) via reduced off-chip memory accesses and lower cache storage requirements. The Bunker Cache requires only modest changes to cache indexing hardware, integrating easily into commodity systems.
更多
查看译文
关键词
Bunker Cache,spatio-value approximation,data moving,data storage,computer architects,data handling,spatio-value similarity,data elements,spatial regularity,real-world application data values,data structures,cache storage location,memory address,energy savings,reduced off-chip memory accesses,reduced cache misses,cache storage requirements,cache indexing hardware,commodity systems
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要