HoLiSwap: Reducing Wire Energy in L1 Caches

arXiv: Hardware Architecture(2017)

引用 23|浏览36
暂无评分
摘要
This paper describes HoLiSwap a method to reduce L1 cache wire energy, a significant fraction of total cache energy, by swapping hot lines to the cache way nearest to the processor. We observe that (i) a small fraction (<3 cache lines (hot lines) serve over 60 difference in wire energy between the nearest and farthest cache subarray can be over 6×. Our method exploits this difference in wire energy to dynamically identify hot lines and swap them to the nearest physical way in a set-associative L1 cache. This provides up to 44 energy (1.82 rate and 0.13 way-prediction.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要