Sub-microsecond adaptive voltage scaling in a 28nm FD-SOI processor SoC.

Proceedings of the European Solid-State Circuits Conference(2016)

引用 20|浏览127
暂无评分
摘要
This work presents a RISC-V system-on-chip (SoC) with integrated voltage regulation and power management implemented in 28nm FD-SOI. A fully integrated switched-capacitor DC-DC converter, coupled with an adaptive clocking system, achieves 82-89% system conversion efficiency across a wide operating range, yielding a total system efficiency of 41.8 double-precision GFLOPS/W. Measurement circuits can detect changes in processor workload and an integrated power management unit responds by adjusting the core voltage at sub-microsecond timescales. The power management system reduces the energy consumption of a synthetic benchmark by 39.8% with negligible performance penalty and 2.0% area overhead, enabling extremely fine-grained (<1 mu s) adaptive voltage scaling for mobile devices.
更多
查看译文
关键词
sub-microsecond adaptive voltage scaling,FD-SOI processor SoC,RISC-V system-on-chip,integrated voltage regulation,fully integrated switched-capacitor DC-DC converter,adaptive clocking system,system conversion efficiency,measurement circuits,processor workload,integrated power management unit,energy consumption reduction,performance penalty,fine-grained adaptive voltage scaling,mobile devices,size 28 nm
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要