Leveraging 3d Technologies For Hardware Security: Opportunities And Challenges

GLSVLSI(2016)

引用 47|浏览372
暂无评分
摘要
3D die stacking and 2.5D interposer design are promising technologies to improve integration density, performance and cost. Current approaches face serious issues in dealing with emerging security challenges such as side channel attacks, hardware trojans, secure IC manufacturing and IP piracy. By utilizing intrinsic characteristics of 2.5D and 3D technologies, we propose novel opportunities in designing secure systems. We present: (i) a 3D architecture for shielding side-channel information; (ii) split fabrication using active interposers; (iii) circuit camouflage on monolithic 3D IC, and (iv) 3D IC-based security processing-in-memory (PIM). Advantages and challenges of these designs are discussed, showing that the new designs can improve existing countermeasures against security threats and further provide new security features.
更多
查看译文
关键词
3D technology,hardware security,3D die stacking,2.5D interposer design,secure systems,3D architecture,shielding side-channel information,split fabrication,active interposers,circuit camouflage,monolithic 3D IC,processing-in-memory,PIM
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要