Scala-Based Domain-Specific Language for Creating Accelerator-Based SoCs

2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)(2016)

引用 2|浏览36
暂无评分
摘要
Nowadays, thanks to technology miniaturization and industrial standards, it is possible to create System-on-Chip (SoC) architectures featuring a combination of many components, like processor cores and specialized hardware accelerators. However, designing an SoC to accelerate an embedded application is particularly complex. After decomposing this application into tasks and assigning each of them to a processing element, the designer must create the required hardware components and integrate them into the final system. Currently, this process is not well supported by commercial tool flows and has to be manually performed. This is time consuming and error prone. This paper proposes a Domain-Specific Language (DSL) based on Scala to specify the architecture of accelerator-based SoCs. We leverage this DSL to coordinate commercial High-Level Synthesis (HLS) tools in order to create the corresponding accelerators with proper standard interfaces for system-level integration.
更多
查看译文
关键词
scala-based domain-specific language,accelerator-based SoC,technology miniaturization,industrial standards,system-on-chip architectures,SoC architectures,processor cores,hardware accelerators,SoC design,embedded application,hardware components,DSL,Scala,high-level synthesis tools,HLS tools,system-level integration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要