Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty.

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2016)

引用 53|浏览88
暂无评分
摘要
Multiple voltage frequency island (VFI)-based designs can reduce the energy dissipation in multicore platforms by taking advantage of the varying nature of the application workloads. Indeed, the voltage/frequency (V/F) levels of the VFIs can be dynamically tailored by considering the workload-driven variations in the application. Traditionally, mesh-based networks-on-chip (NoCs) have been used in ...
更多
查看译文
关键词
Multicore processing,Tuning,Runtime,System analysis and design,Distortion measurement,Wireless communication,Energy dissipation
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要