Optimizing Stochastic Circuits For Accuracy-Energy Tradeoffs

2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2015)

引用 9|浏览69
暂无评分
摘要
Stochastic computing (SC) acts on data encoded by bit-streams, and is an attractive, low-cost and error-tolerant alternative to conventional binary circuits in some important applications such as image processing and communications. We study the use of energy reduction techniques such as voltage or frequency scaling in SC circuits. We show that due to their inherent error-tolerance, SC circuits operate satisfactorily without significant accuracy loss even with aggressive scaling that improves their energy efficiency by orders of magnitude. To find the minimum-energy operating point of an SC circuit, we propose a Markov chain model that allows us to quickly explore the space of operating points. We also investigate opportunities to optimize SC circuits under such aggressive scaling. We find that logical and physical design techniques can be used to significantly expand the already powerful accuracy-energy tradeoff possibilities in SC circuits. Our simulation results show that our optimized SC circuits can tolerate aggressive voltage scaling with no significant SNR degradation after 40% supply voltage reduction (1V to 0.6V), leading to 66% energy saving (20.7pJ to 6.9pJ). Similarly, a 100% frequency boosting (400ps to 200ps) of the optimized circuits leads to no significant SNR degradation for several representative circuits.
更多
查看译文
关键词
stochastic circuits,stochastic computing,bit-streams,binary circuits,energy reduction techniques,frequency scaling,SC circuits,error-tolerance,energy efficiency,minimum-energy operating point,Markov chain model,logical design techniques,physical design techniques,accuracy-energy tradeoff possibilities,aggressive voltage scaling,supply voltage reduction,energy saving,frequency boosting,SNR degradation
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要