Elasticflow: A Complexity-Effective Approach For Pipelining Irregular Loop Nests

2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2015)

引用 38|浏览99
暂无评分
摘要
Modern high-level synthesis (HLS) tools commonly employ pipelining to achieve efficient loop acceleration by overlapping the execution of successive loop iterations. However, existing HLS techniques provide inadequate support for pipelining irregular loop nests that contain dynamic-bound inner loops, where unrolling is either very expensive or not even applicable. To overcome this major limitation, we propose ElasticFlow, a novel architectural synthesis approach capable of dynamically distributing inner loops to an array of loop processing units (LPUs) in a complexity-effective manner. These LPUs can be either specialized to execute an individual loop or shared amongst multiple inner loops for area reduction. We evaluate ElasticFlow using a variety of real-life applications and demonstrate significant performance improvements over a widely used commercial HLS tool for Xilinx FPGAs.
更多
查看译文
关键词
ElasticFlow architectural synthesis approach,complexity-effective approach,irregular loop nest pipelining,high-level synthesis,dynamic-bound inner loops,loop processing units,Xilinx FPGAs
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要