Thermal Aspects And High-Level Explorations Of 3d Stacked Drams

2015 IEEE Computer Society Annual Symposium on VLSI(2015)

引用 5|浏览35
暂无评分
摘要
DRAMs are very sensitive to temperature changes as they use capacitors as volatile and leaky bit storage elements. 3D stacking of heterogenous dies provokes more and more challenges, such as high power densities and thermal dissipation, and has a much stronger impact on the retention time of 3D stacked WIDE I/O DRAMs that are placed on top of an MPSoC. Consequently, it is very important to study the temperature behavior of WIDE I/O DRAMs and explore on high-level with advanced modeling how the thermal issues can be mitigated. In this paper, we demonstrate thermal modeling of 3D integrated ICs and we further provide detailed measurements on temperature-dependent bit error rates of WIDE I/O DRAMs based on real silicon (WIOMING chip) and high-level explorations with advanced modeling and tools, such as gem5 (full system-simulator), DRAMSys and DOCEAs AceThermalModeler(TM)(ATM), to obtain mitigation paths for thermal problems in 3D stacked DRAMs.
更多
查看译文
关键词
3D integration,thermal modeling,TSV,measurements,DRAM,wide I/O,retention time,error models,power down
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要