Boolean Satisfiability-Based Routing And Its Application To Xilinx Ultrascale Clock Network

FPGA'16: The 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays Monterey California USA February, 2016(2016)

引用 24|浏览57
暂无评分
摘要
Boolean Satisfiability (SAT)-based routing offers a unique advantage over conventional routing algorithms by providing an exhaustive approach to find a solution. Despite that advantage, commercial FPGA CAD tools rarely use SAT-based routers due to scalability issues. In this paper, we revisit SAT-based routing and propose two SAT formulations independent of routing architecture. We then demonstrate that SAT-based routing using either formulation dramatically outperforms conventional routing algorithms in both runtime and robustness for the clock routing of Xilinx UltraScale devices. Finally, we experimentally show that one of the proposed SAT formulations leads to a routing 18x faster and produces formulas 20x more compact than the other. This framework has been implemented into Vivado [21] and is now currently used in production.
更多
查看译文
关键词
Boolean Satisfiability,SAT,routing,clock network,FPGA
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要