MAHA: An Energy-Efficient Malleable Hardware Accelerator for Data-Intensive Applications

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2015)

引用 23|浏览95
暂无评分
摘要
For data-intensive applications, energy expended in on-chip computation constitutes only a small fraction of the total energy consumption. The primary contribution comes from transporting data between off-chip memory and on-chip computing elements-a limitation referred to as the Von-Neumann bottleneck. In such a scenario, improving the compute energy through parallel processing or on-chip hardware...
更多
查看译文
关键词
Ash,Hardware,System-on-chip,Nonvolatile memory,Table lookup,Arrays
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要