CMOS Image Sensor Based Physical Unclonable Function for Coherent Sensor-Level Authentication

IEEE Transactions on Circuits and Systems I: Regular Papers(2015)

引用 90|浏览76
暂无评分
摘要
In the applications of biometric authentication and video surveillance, the image sensor is expected to provide certain degree of trust and resiliency. This paper presents a new low-cost CMOS image sensor based physical unclonable function (PUF) targeting a variety of security, privacy and trusted protocols that involves image sensor as a trusted entity. The proposed PUF exploits the intrinsic imp...
更多
查看译文
关键词
Noise,CMOS image sensors,Authentication,Reliability,Cameras,Threshold voltage
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要