Atomic force microscope caliper for critical dimension measurements of micro and nanostructures through sidewall scanning

Ultramicroscopy(2015)

引用 22|浏览9
暂无评分
摘要
A novel atomic force microscope (AFM) dual-probe caliper for critical dimension (CD) metrology has been developed. The caliper is equipped with two facing tilted optical fiber probes (OFPs) wherein each can be used independently to scan either sidewall of micro and nanostructures. The OFP tip with length up to 500μm (aspect ratio 10:1, apex diameter ⩾10nm) has unique features of scanning deep trenches and imaging sidewalls of relatively high steps with exclusive profiling possibilities. The caliper arms–OFPs can be accurately aligned with a well calibrated opening distance. The line width, line edge roughness, line width roughness, groove width and CD angles can be measured through serial scan of adjacent or opposite sidewalls with each probe. Capabilities of the presented AFM caliper have been validated through experimental CD measurement results of comb microstructures and AFM calibration grating TGZ3.
更多
查看译文
关键词
Atomic force microscope,Dual probe,Caliper,Critical dimension,Sidewall,Micro and nanostructures
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要