Plasma assisted multichip-to-wafer direct bonding technology for self-assembly based 3D integration

Electronic Components and Technology Conference(2015)

引用 28|浏览19
暂无评分
摘要
We demonstrated plasma-assisted multichip-to-wafer direct bonding for self-assembly based 3D integration processes. We mainly evaluated the bonding yields and bonding strengths of dies obtained by multichip-to-wafer direct oxide-oxide bonding, and compared with wafer-to-wafer direct oxide oxide bonding in their bonding properties. In this study, we employed thermal oxide and chemical mechanical polish (CMP)-treated oxide formed by plasma-enhanced chemical vapor deposition (PECVD) with tetraethyl orthosilicate (TEOS) as bonding interfaces, and in addition, N2 or Ar plasmas were used for the surface activation. We finally introduce multichip-to-wafer direct oxide-oxide bonding between self-assembled dies and wafers having the PECVDoxide layer.
更多
查看译文
关键词
surface activation,bonding interfaces,tetraethyl orthosilicate,PECVD,plasma-enhanced chemical vapor deposition,CMP-treated oxide,chemical mechanical polishing,thermal oxide,direct oxide-oxide bonding,bonding strengths,bonding yields,3D integration processes,self-assembly,plasma assisted multichip-to-wafer direct bonding technology,Ar,N2
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要