Modeling and Optimization Techniques for Yield-Aware SRAM Post-Silicon Tuning

Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions  (2014)

引用 4|浏览19
暂无评分
摘要
SRAM cell design is driven by the need to satisfy several stability and performance criteria for all cells in the array in an energy-efficient manner. Significant randomness of FET threshold voltages makes achieving this difficult and limits both the minimum cell size and minimum array supply voltage. Post-silicon adaptivity in the form of an adaptive-voltage scheme in a partitioned SRAM array can be used to reduce impact of variability despite lack of any spatial correlation in realizations. This paper develops a novel optimization flow for yield-aware cell sizing and voltage selection under variability given the availability of post-silicon voltage tuning. We formulate a two-stage stochastic optimization problem in which the first-stage decision is to select cell size and possible voltage levels, and the second-stage decision is to assign each partition to an optimal voltage after manufacturing. We develop closed-form statistical models of array margin behavior and yield as a function of Vdd, cell size, and array size. We solve the problem using dynamic programming that minimizes power while meeting yield constraints on read, write, and static noise margins. The proposed flow allows designs that are on average 8% and up to 17% more power-efficient than the designs in which voltages are selected uniformly. The results also indicate that at high-yield levels power savings can be up to 32% in the active mode and 71% in the standby mode.
更多
查看译文
关键词
SRAM chips,circuit tuning,dynamic programming,integrated circuit modelling,integrated circuit yield,optimisation,FET threshold voltage,SRAM array,SRAM cell design,adaptive-voltage scheme,array margin behavior,closed-form statistical model,dynamic programming,energy-efficient manner,minimum array supply voltage,minimum cell size,modelling technique,optimization technique,post-silicon adaptivity,static noise margin,two-stage stochastic optimization problem,voltage selection,yield constraints,yield-aware SRAM post-silicon voltage tuning,yield-aware sizing,Adaptive optimization,low-power SRAM,post-silicon adaptivity,statistical optimization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要