Virtual Ways: Low-Cost Coherence for Instruction Set Extensions with Architecturally Visible Storage

TACO(2014)

引用 1|浏览22
暂无评分
摘要
Instruction set extensions (ISEs) improve the performance and energy consumption of application-specific processors. ISEs can use architecturally visible storage (AVS), localized compiler-controlled memories, to provide higher I/O bandwidth than reading data from the processor pipeline. AVS creates coherence and consistence problems with the data cache. Although a hardware coherence protocol could solve the problem, this approach is costly for a single-processor system. As a low-cost alternative, we introduce Virtual Ways, which ensures coherence through a reduced form of inclusion between the data cache and AVS. Virtual Ways achieve higher performance and lower energy consumption than using a hardware coherence protocol.
更多
查看译文
关键词
memory coherence,design,experimentation,instruction set extension,architecturally visible storage,memory consistence,performance,virtual ways,real-time and embedded systems
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要