CRISP: Congestion reduction by iterated spreading during placement.

ICCAD(2009)

引用 49|浏览19
暂无评分
摘要
ABSTRACTDramatic progress has been made in algorithms for placement and routing over the last 5 years, with improvements in both speed and quality. Combining placement and routing into a joint optimization has also been proposed. However, it remains unclear if the benefits would be significant enough to justify major changes in commercial tools. CRISP addresses this challenge and is the first tool to demonstrate tangible benefits of combined place-and-route optimization including fewer global routing detours, reduced detailed routing violations and runtime, and even shrinking the floorplan of a commercial design. We employ fast global routing to choose standard cells to temporarily inflate and iteratively spread for congestion reduction. Spreading only in congested regions, we enable die area reduction by facilitating routing with high area utilization.
更多
查看译文
关键词
circuit CAD,integrated circuit design,CRISP,congestion reduction,global routing detours,iterated spreading,place-and-route optimization,placement,routing violations,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要