Energy Efficient In-Memory Aes Encryption Based On Nonvolatile Domain-Wall Nanowire

DATE '14: Proceedings of the conference on Design, Automation & Test in Europe(2014)

引用 6|浏览72
暂无评分
摘要
The widely applied Advanced Encryption Standard (AES) encryption algorithm is critical in secure big-data storage. Data oriented applications have imposed high throughput and low power, i.e., energy efficiency (J/bit), requirements when applying AES encryption. This paper explores an in-memory AES encryption using the newly introduced domain-wall nanowire. We show that all AES operations can be fully mapped to a logic-in-memory architecture by non-volatile domain-wall nanowire, called DW-AES. The experimental results show that DW-AES can achieve the best energy efficiency of 24 pJ/bit, which is 9X and 6.5X times better than CMOS ASIC and memristive CMOL implementations, respectively. Under the same area budget, the proposed DW-AES exhibits 6.4X higher throughput and 29% power saving compared to a CMOS ASIC implementation; 1.7X higher throughput and 74% power reduction compared to a memristive CMOL implementation.
更多
查看译文
关键词
cryptography,low-power electronics,nanowires,random-access storage,Advanced Encryption Standard,CMOS ASIC implementations,DW-AES,data oriented applications,energy efficient in-memory AES encryption,logic-in-memory architecture,low power,memristive CMOL implementations,nonvolatile domain-wall nanowire,secure big-data storage,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要