Evaluating The Role Of Scratchpad Memories In Chip Multiprocessors For Sparse Matrix Computations

2008 IEEE INTERNATIONAL SYMPOSIUM ON PARALLEL & DISTRIBUTED PROCESSING, VOLS 1-8(2008)

引用 8|浏览27
暂无评分
摘要
Scratchpad memories (SPMs) have been shown to be more energy efficient and have faster access times than traditional hardware-managed caches. This, coupled with the predictability of data presence, makes SPMs an attractive alternative to cache for many scientific applications. In this work, we consider an SPM based system for increasing the performance and the energy efficiency of sparse matrix-vector multiplication on a chip multi-processor We ensure the efficient utilization of the SPM by profiling the application for the data structures which do not perforin well in traditional cache. We evaluate the impact of using an SPM at all levels of the on-chip memory hierarchy. Our experimental results show an average increase in performance by 13.5-15% and an average decrease in the energy consumption by 28-33% on an 8-core system depending on which level of the hierarchy the SPM is utilized.
更多
查看译文
关键词
energy efficient,sparse matrices,pollution,scanning probe microscopy,sparse matrix,chip,hardware,data structures,data structure,energy efficiency,kernel
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要