Power-aware, depth-optimum and area minimization mapping of K-LUT based FPGA circuits

WSEAS Transactions on Computers archive(2009)

引用 1|浏览8
暂无评分
摘要
This paper introduces an efficient application intended for mapping under complex criteria applied to K -LUT based FPGA implemented circuits. This application is based on an algorithm that was developed taking into consideration a significant design factor - power consumption. Power consumption is considered in addition to other design factors that are traditionally used. To increase performance, it was used a flexible mapping tool based on exhaustive generation of all K -bounded sub-circuits rooted in each node of the circuit. Achieved information about logic dissipated power was obtained using an efficient dedicated simulator. In addition to lower power consumption, we devised several effective mapping techniques designed for reducing area and optimum depth.
更多
查看译文
关键词
K-LUT based FPGA,functional power,logic activity simulator,optimal area,power-aware
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要