Stress-driven 3D-IC placement with TSV keep-out zone and regularity study

ICCAD(2010)

引用 122|浏览140
暂无评分
摘要
Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone (KOZ) is a conservative way to prevent any devices/cells from being impacted by the TSV-induced stress. However, owing to already large TSV size, large KOZ can significantly reduce the placement area available for cells, thus requiring larger dies which negate improvement in wirelength and timing due to 3D integration. In this paper, we study the impact of KOZ dimension on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs. We demonstrate that, instead of requiring large KOZ, 3D-IC placers must exploit TSV stress-induced carrier mobility variation to improve the timing and area objectives during placement. We propose a new TSV stress-driven force-directed 3D placement that consistently provides placement result with, on average, 21.6% better worst negative slack (WNS) and 28.0% better total negative slack (TNS) than wirelength-driven placement.
更多
查看译文
关键词
new tsv stress-driven,tsv stress-induced carrier mobility,placement area,tsv keep-out zone,large tsv size,regularity study,placement result,wirelength-driven placement,koz dimension,tsv-induced stress,large koz,area objective,force,finite state machine,stress,through silicon via,electron mobility,carrier mobility
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要