基于CPLD的激光标刻运动控制卡PC/104接口设计

Modern Electronics Technique(2010)

引用 23|浏览7
暂无评分
摘要
针对传统激光标刻机体积大,运行不稳定等缺点,提出使用嵌入式工控机加运动板卡的设计思路,设计基于CPLD的PC/104总线接口。采用握手通信思想,构造数据读/写权限寄存器,同时结合有限状态机实现上、下位机数据并行传输。实验仿真证明,传输效果能达到快速稳定,并且实际标刻应用验证了该接口设计的可行性与有效性。
更多
查看译文
关键词
VHDL,PC/104,CPLD,laser marking
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要