Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags

ISQED(2013)

引用 22|浏览36
暂无评分
摘要
Large on-chip caches account for a considerable fraction of the total energy consumption in modern microprocessors. In this context, emerging Spin-Transfer Torque RAM (STT-RAM) has been regarded as a promising candidate to replace large on-chip SRAM caches in virtue of its nature of the zero leakage. However, large energy requirement of STT-RAM on write operations, resulting in a huge amount of dynamic energy consumption, precludes it from application to on-chip cache designs. In order to reduce the write energy of the STT-RAM cache thereby the total energy consumption, this paper provides an architectural technique which exploits the fact that many applications process a large number of zero data. The proposed design appends additional flags in cache tag arrays and set these additional bits if the corresponding data in the cache line is the zero-valued data in which all data bits are zero. Our experimental results show that the proposed cache design can reduce 73.78% and 69.30% of the dynamic energy on write operations at the byte and word granularities, respectively; total energy consumption reduced by 36.18% and 42.51%, respectively. In addition to the energy reduction, performance evaluation results indicate that the proposed cache improves the processor performance by 5.44% on average.
更多
查看译文
关键词
stt-ram,mram devices,cache,microprocessors,zero-valued data,cache storage,cache tag arrays,dynamic energy consumption,sram chips,on-chip cache designs,large on-chip sram caches,spin-transfer torque ram,integrated circuit design,energy-efficient spin-transfer torque ram cache,energy consumption,cache line,all-zero-data flags,emerging devices,architectural technique,system on chip,stt ram,transistors,magnetization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要