Prefetching techniques for STT-RAM based last-level cache in CMP systems

ASP-DAC(2014)

引用 20|浏览41
暂无评分
摘要
Prefetching is widely used in modern computer systems to mitigate the impact of long memory access latency by paying extra cost in memory and cache accesses. However, the efficacy of prefetching significantly degrades in the memory hierarchy using the emerging spin-transfer torque random access memory (STT-RAM) as last-level cache (LLC) due to the long write access latency. In this work, we propose two orthogonal but complimentary techniques to improve the prefetching efficacy of STT-RAM based LLC in chip multi-processor (CMP) systems, namely, request prioritization (RP) and hybrid local-global prefetch control (HLGPC). Simulation results show that by combining these two techniques, we can achieve 6.5%~11% system performance improvement and 4.8%~7.3% LLC energy saving in a quadcore system with a 2MB~8MB STT-RAM based LLC, compared to the system with only basic prefetching.
更多
查看译文
关键词
cmp systems,hlgpc,prefetching efficacy,stt-ram based llc,chip multiprocessor systems,random-access storage,cache storage,request prioritization,prefetching techniques,long memory access latency,storage management,quadcore system,multiprocessing systems,write access latency,last-level cache,hybrid local-global prefetch control,modern computer systems,cache accesses,spin-transfer torque random access memory,memory accesses
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要