Catalyst: Planning Layer Directives For Effective Design Closure

DATE '13: Proceedings of the Conference on Design, Automation and Test in Europe(2013)

引用 10|浏览14
暂无评分
摘要
For the last several technology generations, VLSI designs in new technology nodes have had to confront the challenges associated with reduced scaling in wire delays. The solution from industrial back-end-of-line process has been to add more and more thick metal layers to the wiring stacks. However, existing physical synthesis tools are usually not effective in handling these new thick layers for design closure. To fully leverage these degrees of freedom, it is essential for the design flow to provide better communication among the timer, the router, and different optimization engines. This work proposes a new algorithm, CATALYST, to perform congestion-and timing-aware layer directive assignment. Our flow balances routing resources among metal stacks so that designs benefit from the availability of thick metal layers by achieving improved timing and buffer usage reduction while maintaining routability. Experiments demonstrate the effectiveness of the proposed algorithm.
更多
查看译文
关键词
thick metal layer,metal stack,new algorithm,new technology node,new thick layer,VLSI design,design closure,design flow,proposed algorithm,technology generation,effective design closure,layer directive
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要