Blueshift: Designing processors for timing speculation from the ground up

HPCA(2009)

引用 127|浏览40
暂无评分
摘要
Several recent processor designs have proposed to enhance performance by increasing the clock frequency to the point where timing faults occur, and by adding error-correcting sup- port to guarantee correctness. However, such Timing Specula- tion (TS) proposals are limited in that they assume traditional design methodologies that are suboptimal under TS. In this pa- per, we present a new approach where the processor itself is de- signed from the ground up for TS. The idea is to identify and op- timize the most frequently-exercised critical paths in the design, at the expense of the majority of the static critical paths, which are allowed to suffer timing errors. Our approach and design op- timization algorithm are called BlueShift. We also introduce two techniques that, when applied under BlueShift, improve proces- sor performance: On-demand Selective Biasing (OSB) and Path Constraint Tuning (PCT). Our evaluation with modules from the OpenSPARC T1 processor shows that, compared to conventional TS, BlueShift with OSB speeds up applications by an average of 8% while increasing the processor power by an average of 12%. Moreover, compared to a high-performance TS design, BlueShift with PCT speeds up applications by an average of 6% with an average processor power overhead of 23% — providing a way to speed up logic modules that is orthogonal to voltage scaling.
更多
查看译文
关键词
circuit optimisation,clocks,logic design,microprocessor chips,BlueShift,clock frequency,design optimization algorithm,error-correcting support,on-demand selective biasing,path constraint tuning,processor design,static critical path,timing speculation,voltage scaling
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要