Temperature aware statistical static timing analysis

Computer-Aided Design(2011)

引用 10|浏览0
暂无评分
摘要
With technology scaling, the variability of device parameters continues to increase. This impacts both the performance and the temperature profile of the die turning them into a statistical distribution. To the best of our knowledge, no one has considered the impact of the statistical thermal profile during statistical analysis of the propagation delay. We present a statistical static timing analysis (SSTA) tool which considers this interdependence and produces accurate timing estimation. Our average errors for mean and standard deviation are 0.95% and 3.5% respectively when compared against Monte Carlo simulation. This is a significant improvement over SSTA that assumes a deterministic power profile, whose mean and SD errors are 3.7% and 20.9% respectively. However, when considering >90% performance yield, our algorithm's accuracy improvement was not as significant when compared to the deterministic power case. Thus, if one is concerned with the runtime, a reasonable estimate of the performance yield can be obtained by assuming nominal power. Nevertheless, a full statistical analysis is necessary to achieve maximum accuracy.
更多
查看译文
关键词
statistical thermal profile,aware statistical static timing,performance yield,deterministic power case,nominal power,statistical analysis,full statistical analysis,temperature profile,statistical static timing analysis,deterministic power profile,statistical distribution,thermal,timing analysis,monte carlo simulation,standard deviation,thermal analysis,process variation,integrated circuit design,propagation delay
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要