Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures

HIGH PERFORMANCE EMBEDDED ARCHITECTURES AND COMPILERS, PROCEEDINGS(2009)

引用 7|浏览0
暂无评分
摘要
Traditional predicated execution uses two techniques: top predication --- in which only the head of the dependence chain is predicated, and bottom predication --- in which only the tail of the dependence chain is predicated. Top predication prevents speculative execution, thus delivering minimum performance at minimum energy cost, while bottom predication allows full speculation of the dependence chain, resulting in maximum performance at maximum energy cost. In this paper, we propose a novel power-aware ILP extraction technique, denoted the `elastic-block' , that combines these two extremes, exposing superior energy vs. performance trade-offs. Each instruction in the elastic-block is explicitly guarded by two predicates: the speculative , and the final . Instruction's final predicate is generated using traditional if-conversion technique, while the speculative predicate has its default value statically assigned by the compiler, enabling it to make power-performance trade-offs in the code. Several energy saving code optimizations are proposed for the elastic-block structure.
更多
查看译文
关键词
compiler controlled speculation,power aware ilp extraction,maximum energy cost,performance trade-offs,superior energy,dataflow architectures,minimum energy cost,dependence chain,bottom predication,minimum performance,maximum performance,elastic-block structure,top predication,code optimization,speculative execution
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要