Stochastic Physical Synthesis Considering Prerouting Interconnect Uncertainty and Process Variation for FPGAs

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2008)

引用 31|浏览0
暂无评分
摘要
Process variation and prerouting interconnect delay uncertainty affect timing and power for modern VLSI designs in nanometer technologies. This paper presents the first in-depth study on stochastic physical synthesis algorithms leveraging statistical static timing analysis (SSTA) with process variation and prerouting interconnect delay uncertainty for field-programmable gate arrays (FPGAs). Evaluated by SSTA using the placed and routed circuits, the stochastic clustering, placement, and routing reduce the mean delay by 5.0%, 4.0%, and 1.4%, respectively, and reduce the standard deviation of delay by 6.4%, 6.1%, and 1.4%, respectively for MCNC designs. The majority of improvements come from modeling interconnect delay uncertainty for clustering and from considering process variation for placement, while routing has less improvement on delay. In addition, we study the interaction between each individual design stage. When applying all stochastic algorithms concurrently, the mean delay and standard deviation are reduced by 6.2% and 7.5%, respectively. On the other hand, stochastic clustering with deterministic placement and routing is a good flow with little change to the entire flow, but the mean delay is reduced by 5.0%, the standard deviation is reduced by 6.4%, and the runtime is slightly reduced compared to the deterministic flow. Finally, while its improvement over timing is small, stochastic routing is able to reduce the total wire length by 4.5% and to reduce the overall runtime by 4.2% compared to deterministic routing.
更多
查看译文
关键词
process variation,algorithms,deterministic routing,delay uncertainty,stochastic processes,integrated circuit interconnections,logic simulation,stochastic physical synthesis,statistical static timing analysis,deterministic flow,uncertainty,stochastic algorithms concurrently,nanometer technologies,modern vlsi designs,mean delay,timing,standard deviation,prerouting interconnect delay uncertainty,delays,fpga,stochastic routing,deterministic placement,field-programmable gate arrays (fpgas),field programmable gate arrays,stochastic clustering,nanoelectronics,vlsi design,field programmable gate array,place and route
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要